iCAx开思网

标题: Pro/ENGINEER环境下使用的VERICUT软件 [打印本页]

作者: isbettor    时间: 2004-6-19 22:44
标题: Pro/ENGINEER环境下使用的VERICUT软件
Pro/NC提供了制造业最好的NC校验工具.VERICUT是一套世界领先的NC校验软件。它可以让用户交互式仿真铣削、钻孔、车削、EDM以及铣/车等操作。错误的进刀路径会毁坏零件、损伤夹具或折断切削刀具,该软件可以在实际加工前,轻易而举地识别和纠正这些错误。这样就能真正省掉用户手工核实NC程序的过程。Pro/NC已成为制造业最全面和最强大的NC程序制定和校验软件包。  
              NC校验选项(NC Verification)
              NC优化选项(包括NC校验选项)
              NC Optimization (includes NC Verification Option)
              NC机床运动仿真选项(包括NC优化选项)
              NC Machine Simulation (Includes NC Optimization Option)
  
需要吗?需要的话我把各个选项的详细内容帖上来!
作者: ymq    时间: 2004-6-20 08:25

作者: mwf0604    时间: 2004-6-20 10:39

作者: hzp100    时间: 2004-6-20 22:50
这里的人气一般,请贴上来,招蜂引蝶,我大力顶。
作者: szyjl    时间: 2004-6-20 23:25

顶顶
顶顶顶
作者: szyjl    时间: 2004-6-20 23:25

作者: coffee_2003    时间: 2004-6-21 09:20
  
   
不頂還是人嗎~~
作者: isbettor    时间: 2004-6-22 10:32
1. NC校验选项
            NC校验选项具有仿真后处理G-Codes的能力。另外,它还提供了一些选项,用来将被仿真的切割工件与参照模型进行比较,以找出两者之间的所有差别。这种功能使用户能确保零件成品与设计意图相吻合。另外,它还包含了一个超速校验工具,用于处理超长进刀路径文件。NC校验选项提供了详细的测量和模型分析工具,以及更强大的设置/校验控制。用户可以旋转、剖切和测量模型的每个部分。
            主要功能
              仿真后处理数据(NC Codes)   
              快速处理大型NC进刀路径   
              测量仿真模型(材料厚度、角度、距离、残留高度)   
              将仿真模型与某个曲面或一组三维点(CMM数据)进行比较  
            优点:节省时间和费用、减少废料和返工次数、加速上市时间、减少程序错误、提供更好的文档、改善零件质量、提高车间生产率、培训NC编程人员和加工人员、增强信心
作者: isbettor    时间: 2004-6-22 10:32
2. NC优化选项(包括NC校验选项)
            这一模块能自动修改NC程序的进给速度,使他们更快,效率更高。根据切割环境和NC工具的能力来调节进给速度,可以缩短50%甚至更多的机械加工时间。缩短机械加工时间可以加速产品上市,并且每年能节省成千上 美元!进给速度的优化还能改善零件的质量,降低机器和刀具的磨损。
            NC优化模块提供了专为粗加工、半精加工以及精加工而设计的优化方法。NC优化选项还能让用户建立任何刀具形状的模型。三个组件描述了切割工具部件─刀具、刀柄和刀具支架(主轴、夹头或夹盘)。程序能检测到刀具部件中零件和非切割部分之间的碰撞。它支持凹型端部、或非中心切割端铣刀(比如硬合金镶齿端铣刀)。
              主要功能
               仿真后处理数据(NC Codes)。   
              快速处理大型NC进刀路径。   
              测量仿真模型(材料厚度、角度、距离、残留高度)。   
              将仿真模型与某个曲面或一组三维点(CMM数据)进行比较。   
              通过根据切割环境和NC工具能力来调节进刀速度,NC优化选项能优化了NC程序,使他们更快,效率更高。   
              仿真和检测所有刀具之间的碰撞:刀具、刀柄(刀具的非切割部分)和支架。   
              把VERICUT模型的线架几何表示通过IGES数据输出。  
            优点:通过自动优化进刀速度、加工时间可以缩短高达50%甚至更多、改善表面光洁度、节省时间和费用、减少机器和刀具的磨损、提高生产率、加速上市时间、减少手工进给速度调整的次数
作者: isbettor    时间: 2004-6-22 10:37
3. NC机床运动仿真选项(包括NC优化选项)
            一次机器事故可能会损失数千美元、毁坏NC机器,且延误整个生产计划。VERICUT机床运动仿真软件使用户能够建立和仿真NC机器和控制,以便检测出机器、零件、固定装置以及支架等各个部分之间的碰撞。因为该软件建立在真正的NC校验技术(而不是机器人模拟技术)之上,所以它是现有最快、最准确的NC机床运动碰撞检测软件。而且,由于驱动它的控制功能与真实机器相同,所以这种“虚拟机器”的操纵方法与车间里的真实机器基本相同。
            另外,也可以把机床运动仿真模块用作反向后处理器。通过把G-Codes转换为APT或其它NC数据格式,可以重用旧NC程序,并使它能在不同的机器上运行。
            计算机上的机床碰撞要比车间里真实的机床碰撞省钱的多。
            用户可以详细、快速、精确地预览车削过程的各个方面,其在以前的制造软件包中从未见过这种效果。
             主要功能
              仿真后处理数据(NC Codes)。   
              快速处理大型NC进刀路径。   
              测量仿真模型(材料厚度、角度、距离、残留高度)。   
              将仿真模型与某个曲面或一组三维点(CMM数据)进行比较。   
              通过根据切割环境和NC工具的能力来调节进刀速度,NC优化选项优化了NC程序,使他们更快,效率更高。   
              仿真和检测所有刀具之间的碰撞:刀具、刀柄(工具的非切割部分)和支架。
              把VERICUT模型的线架几何表示以IGES的方式输出。
              你想多详细就多详细地仿真整个加工工具。  
              仿真车间每个控制所具有的最复杂功能。
              直接在VERICUT软件包中建立加工刀具,也可以从Pro/ENGINEER软件中输入。  
            优点:消除碰撞和「一擦而过」、提高企业声誉、检查机器的功能、改善文档、建立范例、测试新机器和后处理器、不用机器即可培训编程员和加工人员、提高安全性
作者: coffee_2003    时间: 2004-6-22 10:41
  
   
不錯~~
作者: 20032003    时间: 2004-6-23 01:30
不错   Ding
作者: 20032003    时间: 2004-6-25 23:28
不错 Ding
作者: szyjl    时间: 2004-6-27 23:26

作者: dcren    时间: 2004-6-28 10:11
很不错,有个实际的例子更好更棒
作者: liuyun0219    时间: 2004-8-9 22:48
棒。很专业哦
作者: qing01    时间: 2004-8-10 15:37
来个例子吧 我笨
看了这个还是不懂
最好用最笨的方法教我们啊
作者: 20032003    时间: 2004-8-18 06:59
又是拿的人家的吧﹗﹗﹗我以前有看過﹐還有打印﹐有本事做個圖看看。
作者: qinmenghua    时间: 2004-8-19 13:26
希望看到实例教程,而不是关于它的功能的介绍!
作者: lingtcp    时间: 2004-9-2 01:23
来个教程吧来个教程吧来个教程吧来个教程吧来个教程吧
作者: wjfwjfwjf    时间: 2004-9-3 20:46
在哪有讨论vericut的地方?
作者: yangmz123    时间: 2004-9-5 13:14
来个教程吧
作者: tomasli    时间: 2004-9-10 21:51
o/ENGINEER环境下使用的VERICUT软件,两个软件如何联接到一起,请高手指点下好吗 ?
作者: tomasli    时间: 2004-9-10 21:52
nccheck_type
vericut,nccheck
  
控制要使用的“NC 检测”模拟模块:
  
vericut - 使用 CGTech 提供的 Vericut(TM)。
  
nccheck - 使用 Pro/NC-CHECK。
作者: tomasli    时间: 2004-9-10 21:52
Vericut 中的整体刀具
如果要使用整体刀具模型进行加工,Vericut (TM) 将使用实际的刀具轮廓显示材料的去除。在“铣削”中,整体刀具轮廓以刀具模型(零件或组件)的旋转包络为基础。在“车削”中,刀具轮廓以刀具轮廓线为基础。
  
如果使用组件作为整体刀具模型,则可指定属于刀架而不属于切削刀具的元件。要将元件指定为刀架,可在零件或组件模型中对其进行检索,然后为其添加一个名为 VERICUT_TYPE 值为 HOLDER 的字符串类型的零件参数。同样,可将一个值为 TOOL 的 VERICUT_TYPE 参数添加到属于刀具本身的元件,但这没有必要,因为缺省情况下,Vericut 将整体刀具组件的所有元件作为刀具对待。
作者: tomasli    时间: 2004-9-10 21:53
关于使用 Vericut
如果使用的是 Vericut(TM),那么单击“NC 检测”(NC Check) 命令之后,系统将打开“NC 校验”(NC VERIFICATION) 菜单,其中包含下列选项:
  
CL 文件 (CL File) - 从浏览器窗口中选取 CL 文件名。
  
G-代码文件 (G-Code File) - 从浏览器窗口中选取“G-代码”文件名。
  
交互 (Interactive) - 在当前进程内执行“NC 检测校验”。
  
批处理 (Batch) - 在批处理模式下作为独立的过程执行“NC 检测校验”。
  
选取所需的选项,指定文件名,然后单击“完成”(Done)。系统将打开一个独立的 Vericut 窗口执行“NC 检测”模拟。有关详细信息,请单击 Vericut 窗口顶部菜单条上的“帮助”(Help)。
作者: llrchsha    时间: 2004-9-14 17:09
很好!
作者: YPSONG    时间: 2006-7-22 07:47
真的很好,多谢分享有
作者: 海成    时间: 2006-7-24 10:24
怎样进行机床运动仿真呢?
作者: zxhfhl    时间: 2006-7-30 19:20
真不错,顶




欢迎光临 iCAx开思网 (https://www.icax.org/) Powered by Discuz! X3.3